VXCMD, error handling

Ruslan Zasukhin sunshine at public.kherson.ua
Wed Feb 12 09:48:58 CST 2003


on 2/12/03 9:36 AM, Rodney Tamblyn at rodney_tamblyn at yahoo.com wrote:

Hi Rodney,
> 
> I've tried out the new build.
> 
> How do I determine the EOF?  You say to check the errors, but I can't
> find any VXCMD command for checking errors (the binary_read call itself
> doesn't return anything beyond putting data into the named variable).

Okay, yes VXCMD do not have such special command, because on error it retuns
in RESULT string. 

    "ERROR -39"


> I think it would make more sense if the binary_read command returned
> "eof"  or 1 when the end of the data is reached.

Okay, I see your problem. In fact I think this is not implemented.
You MUST at least in 1.x version, at first do BLOB_DataSize() to get EXACT
size of BLOB record, then you need self correctly ask required number of
bytes...

> If I read too far (ie make a call to binary_read after I've already got
> all the data) and then get the length of the MC variable, it returns
> 500, but when I do charToNum on the chars in this field they return 0.
> If I do "if myVar is empty" it returns false.
> 
> Haven't got it to work yet but will try again tomorrow...

-- 
Best regards,
Ruslan Zasukhin      [ I feel the need...the need for speed ]
-------------------------------------------------------------
e-mail: ruslan at paradigmasoft.com
web: http://www.paradigmasoft.com

To subscribe to the Valentina mail list go to:
http://listserv.macserve.net/mailman/listinfo/valentina
-------------------------------------------------------------



More information about the Valentina mailing list